subject

Library ieee; use ieee. std_logic_1164.all;
-- uncomment the following library declaration if using-- arithmetic functions with signed or unsigned valuesuse ieee. numeric_std. all;
-- uncomment the following library declaration if instantiating-- any xilinx leaf cells in this unisim; --use unisim. vcomponents. all;
entity task1_tb is-- port ( ); end task1_tb;
architecture behavioral of task1_tb is

--declaring the componentcomponent task1 port ( a : in std_logic; b : in std_logic; y : out std_logic); end component;
--declaring the signals needed--these y, a,b signals are different from the--internal ones of the componentsignal y, a,b: std_logic;
--signal to assign values to a and bsignal counter: unsigned(1 downto 0): ="00";

begin

-- component assignmentuut: task1 port map(a => a, b => b,

y => y

);
--assign a (bit 1) and b (bit 0) to the counter bits so that--all possible inputs are tested

--enter your code here

--increments the counter using a process--use a 20ns delay between each combination

--enter your code here

ansver
Answers: 2

Another question on Computers and Technology

question
Computers and Technology, 22.06.2019 18:30
Kto rozmawia z clamentain przez krótkofalówke w the walking dead w 4 epizodzie
Answers: 1
question
Computers and Technology, 23.06.2019 23:30
What can you prevent issues related to downloading content form the internet
Answers: 1
question
Computers and Technology, 24.06.2019 00:00
Visualizing a game of “tag” to remember the meaning of contagious
Answers: 3
question
Computers and Technology, 24.06.2019 02:00
Write an expression that will cause the following code to print "equal" if the value of sensorreading is "close enough" to targetvalue. otherwise, print "not equal". ex: if targetvalue is 0.3333 and sensorreading is (1.0/3.0), output is:
Answers: 1
You know the right answer?
Library ieee; use ieee. std_logic_1164.all;
-- uncomment the following library declaration if...
Questions
question
Mathematics, 25.09.2020 07:01
question
Physics, 25.09.2020 07:01
question
Mathematics, 25.09.2020 07:01
question
Mathematics, 25.09.2020 07:01
Questions on the website: 13722360